We Are:
At Synopsys, we drive the innovations that shape the way we live and connect. Our technology is central to the Era of Pervasive Intelligence, from self-driving cars to learning machines. We lead in chip design, verification, and IP integration, empowering the creation of high-performance silicon chips and software content. Join us to transform the future through continuous technological innovation.
You Are:
You are a highly skilled and experienced SoC Physical Design specialist with a passion for innovation and technology. You have a proven track record in delivering physical implementation on SoCs and possess deep expertise in RTL2GDSII design flow methodology. Your experience with synthesis, place and route, clock implementation, SoC power implementation, SoC timing analysis, and physical verification makes you an invaluable asset to any team. You excel in a customer-focused environment, possess excellent communication skills, and are willing to occasionally travel or work on-site at customer premises.
What You’ll Be Doing:
* Performing SoC Physical Design implementation (RTL2GDSII) at block and chip levels
* Providing RTL2GDSII design flow methodology expertise.
* Collaborating with customers to provide specific design skills and assistance for Block and SoC development
* Developing and tuning block and SoC level constraints
* Implementing low power designs and developing UPF constraints
* Closing timing in high-speed designs and leading edge technologies
* Performing physical implementation of Arm-based sub-systems
* Working on hierarchical design planning and Implementation flow
The Impact You Will Have:
* Enabling leading edge customers to complete their most challenging SoC design projects using Synopsys EDA tool suite
* Driving innovation in telecommunications, wireless, broadband, automotive, AI, and high-performance computing applications
* Enhancing the performance and efficiency of SoC designs
* Contributing to the successful deployment of design flow and methodology
* Ensuring the delivery of high-quality, reliable SoCs to market
* Supporting the growth and success of Synopsys' System Solution Group (SSG), EDA tools and solutions
What You’ll Need:
* BSEE/MSEE/PhD in Electrical and/or Computer Engineering.
* At least 8 years’ experience in delivering physical implementation on SoCs
* Proficiency with Synopsys RTL2GDSII toolset including Design Compiler, IC Compiler, Fusion Compiler, RTLA, PrimeTime, StarRCXT, and ICV
* Experience with synthesis, place and route, clock implementation, SoC power implementation, SoC timing analysis, and physical verification
* Experience with Block and SoC level constraints development, Static Timing analysis and Signoff, Timing ECOs
* Experience in scan insertion and understanding/tuning DFT Constraints
* Knowledge of low power designs, UPF constraints, and implementation
* Experience in implementation of large hierarchical designs from floorplanning, power planning, partitioning, clock tree planning, budgeting
* Experience working in a customer focused environment with great communication skills
* Programing skills in TCL, PERL, Python, Makefile, shell scripting
Who You Are:
* Detail-oriented with strong analytical skills
* Excellent communicator and team player
* Customer-focused with a proactive problem-solving attitude
* Adaptable and capable of working in a fast-paced environment
* Willing to travel occasionally and work on-site as needed
The Team You’ll Be A Part Of:
You will be part of Synopsys' System Solution Group (SSG), which delivers tool, methodology, design creation, verification, and implementation expertise. Our team collaborates with customers ranging from industry leaders to start-ups, developing products for various applications. We provide specific design skills for SoC development, design flow and methodology deployment, RTL2GDSII implementation, and full SoC turnkey design from specification to parts.
Rewards and Benefits:
We offer a comprehensive range of health, wellness, and financial benefits to cater to your needs. Our total rewards include both monetary and non-monetary offerings.
#J-18808-Ljbffr